Bismillah...

Seven segmen


Seven Segment adalah tujuh segmen-segmen yang digunakan untuk menampilkan display visual yang secara umum berupa angka atau uruf.

Untuk 7 segment dikenal adanya common anoda (anoda bersama dimana semua anoda LED dijadikan satu biasanya dihubungkan dengan vcc dengan nilai logika 0 untuk menyalakan) atau common cathoda(Katoda bersama dimana semua Katoda LED dihubungkan dengan Ground dengan nilai logika 1 untuk menyalakan), Tiap segment diberi notasi huruf a,b,c,d,e,f dan g, serta dp untuk dot.

MENDETEKSI MASUKAN DARI PORT 3 VIA TOMBOL, 
HASILNYA DITAMPILKAN VIA PORT 0. (dengan AT89c51)


Rangkaian kelistrikan untuk 7-segment Common Anoda



Tabel 1. Input pada Seven-Segment

Tabel 2. Kode (Hex) masukan tombol

Menampilkan 1 angka 1-8

Flowchart



Listing Program

           ORG     0H
Awal:
           Mov      A,P3  
           CJNE    A,#0FEH,Step1
           Mov      P0,#0C0H
           SJMP Step1
Step1:
           CJNE    A,#0FDH,Step2
           Mov      P0,#0F9H
           SJMP Step2
Step2:
           CJNE    A,#0FCH,Step3
           Mov      P0,#0A4H
           SJMP Step3
Step3:
           CJNE    A,#0F7H,Step4
           Mov      P0,#0B0H
           SJMP Step4
Step4:
           CJNE    A,#0EFH,Step5
           Mov      P0,#99H
           SJMP Step5
Step5:
           CJNE    A,#0DFH,Step6
           Mov      P0,#92H     
           SJMP Step6
Step6:
           CJNE    A,#0BFH,Step7
           Mov      P0,#82H
           SJMP Step7
Step7:
           CJNE    A,#07FH,Awal
           Mov      P0,#0F8H
           SJMP Awal      
End